Şimdi Ara

İşlemci yapımı (4. sayfa)

Daha Fazla
Bu Konudaki Kullanıcılar: Daha Az
2 Misafir - 2 Masaüstü
5 sn
92
Cevap
4
Favori
3.716
Tıklama
Daha Fazla
İstatistik
  • Konu İstatistikleri Yükleniyor
12 oy
Öne Çıkar
Sayfa: önceki 12345
Sayfaya Git
Git
sonraki
Giriş
Mesaj
  • Benim hedeflediğim basit toplama yapan bir işlemci gibi bir devre olsa yeter amacım zaten profesyonel bir şey yapmak değil deney ve öğrenme amaçlı basit bir şey

    < Bu ileti DH mobil uygulamasından atıldı >
  • gayet yapılabilir hocam. bununla alakalı kaynakları kendin bile keşfedebilirsin ki burdan da paylaşılanlar olmuş. anlamak istediğin kısım dijital elektronik olduğu için daha rahat öğrenirsin analoğa göre.
  • Elbette yapılır, bende yapmak istiyordum ama yeterli büyüklükte bordum ve yeterli komponentim yoktu. Eğer işlemci / mikro işlemci üretmeye meraklı isen internette satılan güzel kaynaklar var, onlara iyi çalışmanı tavsiye ederim, 2 sene önce mikroişlemci dersini BA ile verdim ama gel zaman git zaman uçtu aklımdan çoğu, ama şunu söylemeliyim ki C ve assembly 'yi birlikte kodlama gerçekten çok keyifli! Assembly biraz ezber gerektiriyor, biraz pratik için boş zamanlarında TIS-100 diye bir oyun var onu oynamanı tavsiye ederim, eğer mimariyi anlayıp, tüm kavramlar kafanda üç aşağı beş yukarı oturmuşsa, fiziksel olarak başlamadan önce yol haritanın belirlemen çok daha faydalı olacaktır hocam, kolay gelsin, ilerleme kaydeder isen beni etiketlere çok sevinirim :)

    < Bu ileti mobil sürüm kullanılarak atıldı >
  • kaand12@ kullanıcısına yanıt
    Etiketlerim hocam tabi ki, bu arada ben biraz C# biliyorum ama bırakıp C başlamam daha mı mantıklı olur yoksa C# devam edip bitireyim mi

    < Bu ileti DH mobil uygulamasından atıldı >
  • Visual studio üzerinden asm uzantılı dosya olarak 32 bite uyumlu (64bit biraz daha farklı import ediliyordu yanlış hatırlamıyorsam) assembly kodu gömmüştük C koduna C# ta olaylar nasıl tam emin değilim. Siz öncelikle bildiğiniz dile assembly import ediliyor mu, edilirse nasıl import edilir bir öğrenin. Tabii bizim yaptığımız bir ödevdi elbette, sıralama algortimasını assembly ye yaptırıyorduk, tabii en basit mantıkta işlemci yapmak istiyorsan assembly vs hiçbir dil bilmene gerek yok, sadece toplama ve çıkarma yapmak için temel kapılar, opmap tarbsistör ve 1-2 daha komponent kullanılarak basit düzeyde, özel amaçlı bir mikro işlemci tasarlayabilirisin, ip ucu olması açısında şöyle bir fikir vereyim kendimce sana, her anlamlı bit dizisinin en anlamlı bitine 0 gelince toplama, 1 gelince çıkarma devresinde gidecek şekilde en temel deyimle 2 parçadan oluşan bir devre tasarlayabilirisin mesela :)

    < Bu ileti DH mobil uygulamasından atıldı >
  • kaand12@ kullanıcısına yanıt
    Araştırdım biraz assembly ile C# kullanımı hakkında kaynak bulamadım pek, daha anlaşılır ve sağlıklı olması için büyük ihtimalle C başlayacağım

    < Bu ileti DH mobil uygulamasından atıldı >
  • Konu yüzünden açtım şimdi commodore 64 oyunları oynuyorum.
  • quote:

    Orijinalden alıntı: Jacob Robbins

    Yok hocam zaten yapma işinden vaz geçtim şuan kodlama kısmını öğrenmeye başlayacağım
    Hocam neden vazgeçiyorsunuz. Reddit'te bi eleman breadboard üzerinde kendi işlemcisini yapmıştı. Aşırı dandik oluyor fakat Linux boot etmişti adam. Bulursam atacağım. Vazgeçmeyin. Bu forumda binlerce dangalak var insanların fikirlerini yakan. En basitinden bir işlemci üretmeniz, mantığını anlamanız bile ilerde sizi program yazsanız bile çok farklı bir bakış açısı kazandıracaktır. Ülkenin ve dünyanın meraklı insanlara ihtiyacı var. Dediğim gibi arıyorum, bulunca atıcam evinde işlemci yapan adamı.



    < Bu mesaj bu kişi tarafından değiştirildi bseeek -- 16 Ağustos 2020; 11:49:43 >
    < Bu ileti mobil sürüm kullanılarak atıldı >
  • bseeek B kullanıcısına yanıt
    Hocam breadboard ve çeşitli devre elemanları elimde var ancak şuan tek kararsız olduğum konu , kodlama bilgim var bildiğim kodlama dilini öğrenmeye devam mı edeyim yoksa işlemciyi yapmayı mı çalışayım veya ikisini birden ilerletmeye çalışmak da bir seçenek

    < Bu ileti DH mobil uygulamasından atıldı >
  • hocam paylaşın bence. İlgisi olanın havada kapacağı bir konu olduğunu düşünüyorum
  • Hocam bence de paylaşmalı ben merak ediyordum özelden de pm atmıştım bugün umarım paylaşır

    < Bu ileti DH mobil uygulamasından atıldı >
  • Canın hangisini istiyorsa. Kodlamadan devam edeceksen C'den başkasına bakma. Elektronik dünyasında C'den başkasının sözü geçmez.
  • EmuDev E kullanıcısına yanıt
    Ben C# biliyordum biraz ama C öğrenme yolu gözüktü gibi artık

    < Bu ileti DH mobil uygulamasından atıldı >
  • işlemciyi yapanın burada ne işi olur.
  • Bahsedilen işlemci core i7 değil. Hobi olarak işlemci geliştiren bir sürü kişi var.
    https://opencores.org/projects?expanded=Processor
  • EmuDev E kullanıcısına yanıt
    eski nesil bir 286 işlemcisinde bile milyontane transistör olmalı. kolay iş değil 60ları bilgisayarları dersen onlarda oda boyunda.
  • Merhaba, elindeki transistorlar veye tumdevreler ile lojik fonksiyonlar, toplayicilar, sayicilar yapabilmen mumkun. Bunun icin bir cok dokumani bulabilirsin. Bread board uzerinde gercekleyebilecegin devreler bunlar. Islemci yapmanin mumkun olmadigini bir cok arkadas dile getirmis zaten. Digital tasarim ile ilgileniyorsan;
    http://www.asic-world.com/verilog/veritut.htmlhttps://www.doulos.com/knowhow/verilog/what-is-verilog/https://www.tutorialspoint.com/vlsi_design/vlsi_design_verilog_introduction.htm

    Online Compilerhttps://www.edaplayground.com/

    Online Compilerhttps://hdlbits.01xz.net/wiki/Main_Page Bu son sitede cesitli sorular var, en basitten baslayip sorulari coze coze gidiyorsun.




  • muhsin kamer kullanıcısına yanıt
    Kişinin merakı nasıl ve kimler tarafından söndürülür işte cevabı: bu tip insanlar tarafından..

    < Bu ileti mobil sürüm kullanılarak atıldı >
  • quote:

    Orijinalden alıntı: Jacob Robbins

    Hocam bence de paylaşmalı ben merak ediyordum özelden de pm atmıştım bugün umarım paylaşır
    Arkadaşlar merhabalar. Bu telekom şirketlerinin Allah belasını versin. Faturalı telefon kullanıyorum, ama kontörlü kullanıyor gibi bir hafta kalmadan internetim bitiyor. Hiç kullanmasam da, hergün kullansam da farketmiyor. Ne hikmetse hep bir hafta kala bitiyor?! Bir hafta erken bitirip ek paket aldırmaya çalışıyorlar ellaaam.

    Çizdiğim devre de bu konu ile ne diyeyim "uyumlu" olmayabilir. Bir adet iki transistörlü bistable multivibratör düşünün. Bu multivibratörün birinin beyzine prob ile dokunuyorsunuz led yakıyor. Diğer beyze dokunuyorsunuz, LED sönüyor. Şimdi bu devreyi yan yana kopyala-yapıştır yaptığınızı düşünün... Ne oldu? bir sürü oldu. 8 adet kopyalarsanız, her bir LED 1 bit olsa, toplam 8bit (1bayt) demektir.

    Paylaşıyorum:

    İşlemci yapımı

    İşlemci yapımı

    Flip flop devresi de bir tür bilgisayar gibidir. Bir tür dijital devredir ve kare dalga üretir. Şayet onu istediğiniz gibi yönetebilirseniz bilgisayar belleği ve işlemci yapımında kullanabilirsiniz. Ki kullanılıyor da zaten. Bugün bilgisayarların belleklerinde işlemcilerinde bu devrelerden faydalanılır (Logic-1 ve Logic0) durumu.

    Konuyu daha önce başka bir forumda açmıştım. Açıklamasını resimleri göremeseniz de oradan okuyabilirsiniz. Resimler size verdiklerimin aynısı zaten.
    https://elektronikprojeler.com/index.php/topic,8747.0.html

    Buraya da baskı şemasını ekliyorum. Yalnız devreyi denemedim, önceden söyleyeyim. Hani teknik bir sıkıntısı vardır, bilemiyorum.
    https://drive.google.com/file/d/1rfVFpgGxqcOyzCnNyOCyasdPX6wnghde/view?usp=sharing

    İyi çalışmalar.




  • 
Sayfa: önceki 12345
Sayfaya Git
Git
sonraki
- x
Bildirim
mesajınız kopyalandı (ctrl+v) yapıştırmak istediğiniz yere yapıştırabilirsiniz.